lexszero 20.01.2012 22:56 nyapad

lexs@nyapad ~/tmp/hdl $ cat counter.v
module counter(input clk, input reset, output reg [3:0] q);
always @ (posedge reset)
q <= 4'b0000;
always @ (posedge clk)
q <= q + 1'b1;
endmodule

module main;
reg clk;
reg reset;
wire [3:0] q;

counter cnt(clk, reset, q);

initial
begin
$display("Hello!");
clk = 0;
reset = 1;
#1;
reset = 0;
end

always
begin
clk <= !clk;
#1;
end

always @ (clk)
$display("clk=%b q=%b", clk, q);

endmodule

lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter
lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10
Hello!
clk=0 q=xxxx
clk=1 q=0000
clk=0 q=0001
clk=1 q=0001
clk=0 q=0010
clk=1 q=0010
clk=0 q=0011
clk=1 q=0011
clk=0 q=0100

ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111
чего бы такого написать? :3

1. Nico-izo 20.01.2012 22:56 qutIM/чег

напиши мне СПАРК

2. lexszeroNico-izo /1 20.01.2012 22:57 nyapad

уже есть же, opensparc

3. Nico-izolexszero /2 20.01.2012 22:58 qutIM/чег

Ну да. Больше спарков, хороших и разных! Или OpenRISC

4. lexszeroNico-izo /3 20.01.2012 22:59 nyapad

хм. попробую запилить брейнфак-машину штоли

5. Nico-izolexszero /4 20.01.2012 23:00 qutIM/чег

давай, удачки.

Do you really want to delete ?