*Verilog

Authoritarian 02.07.2012 10:18

Есть разбирающиеся в сабже? Мне тут надо IP Core к проекту подключить, кто-нибудь подобным занимался? Какие подводные камни?

lexszero 21.01.2012 07:31

Итак, первая попытка практического тыканья верилога привела к созданию brainfuck-машины с фон-неймановской организацией памяти: http://paste.pocoo.org/show/538134/ — исходник
http://paste.pocoo.org/show/538136/ — пример работы (в файлик bf-machine.dump при этом валится полный трейс сигналов, который можно ... more →

lexszero 21.01.2012 02:24

Чото не могу придумать, как реализовать брейнфаковые [ ] лучше, чем линейным поиском вперед/назад за соответствующее расстоянию между скобками количество тактов (обращение к памяти — один такт). БРЕЙНФАК СЛОЖНЫЙ!

lexszero 20.01.2012 22:56

lexs@nyapad ~/tmp/hdl $ cat counter.v
module counter(input clk, input reset, output reg [3:0] q);
always @ (posedge reset)
q <= 4'b0000;
always @ (posedge clk)
q <= q + 1'b1;
endmodule

module main;
reg clk;
reg reset;
wire [3:0] q;

counter cnt(clk, reset, ... more →

*Verilog is used by:

lexszero

lexszero

Authoritarian

Authoritarian